Manufactura industrial
Internet industrial de las cosas | Materiales industriales | Mantenimiento y reparación de equipos | Programación industrial |
home  MfgRobots >> Manufactura industrial >  >> Industrial programming >> Verilog

Chanclas JK

Diseño

  
  
module jk_ff ( input j,
               input k,
               input clk,
               output q);

   reg q;

   always @ (posedge clk)
      case ({j,k})
         2'b00 :  q <= q;
         2'b01 :  q <= 0;
         2'b10 :  q <= 1;
         2'b11 :  q <= ~q;
      endcase
endmodule

  

Esquema de hardware

Banco de pruebas

  
  
module tb_jk;
   reg j;
   reg k;
   reg clk;
   
   always #5 clk = ~clk;
   
   jk_ff    jk0 ( .j(j),
                  .k(k),
                  .clk(clk),
                  .q(q));

   initial begin
      j <= 0;
      k <= 0;
      
      #5 j <= 0;
         k <= 1;
      #20 j <= 1;
          k <= 0;
      #20 j <= 1;
          k <= 1;
      #20 $finish;
   end

   initial
      $monitor ("j=%0d k=%0d q=%0d", j, k, q);
endmodule	

  

Verilog

  1. Introducción a Verilog
  2. Tutorial de Verilog
  3. Flujo de diseño ASIC
  4. Capas de abstracción de diseño
  5. sintaxis de Verilog
  6. Tipos de datos de Verilog
  7. Verilog escalar y vectorial
  8. Chanclas Verilog T
  9. Contador Verilog Mod-N
  10. Mostrador gris Verilog
  11. Pulse el interruptor:esto es lo que se usa para un convertidor de frecuencia