Manufactura industrial
Internet industrial de las cosas | Materiales industriales | Mantenimiento y reparación de equipos | Programación industrial |
home  MfgRobots >> Manufactura industrial >  >> Industrial programming >> Verilog

Restablecimiento asíncrono de flip-flop D

Una chancleta D es un elemento secuencial que sigue al pin de entrada d en el borde dado de un reloj.

Diseño n.º 1:con reinicio asíncrono activo-bajo

  
  
module dff 	( input d,
              input rstn,
              input clk,
              output reg q);
	
	always @ (posedge clk or negedge rstn) 
       if (!rstn)
          q <= 0;
       else
          q <= d;
endmodule

  

Esquema de hardware

Banco de pruebas

  
  
module tb_dff;
	reg clk;
	reg d;
	reg rstn;
	reg [2:0] delay;
	
    dff  dff0 ( .d(d),
                .rsnt (rstn),
                .clk (clk),
                .q (q));
    
    // Generate clock
    always #10 clk = ~clk;
                   
    // Testcase
    initial begin
    	clk <= 0;
    	d <= 0;
    	rstn <= 0;
    	
    	#15 d <= 1;
    	#10 rstn <= 1;
    	for (int i = 0; i < 5; i=i+1) begin
    		delay = $random;
    		#(delay) d <= i;
    	end
    end
endmodule

  

Diseño n.º 1:con sincronización activa-reinicio bajo

  
  
module dff 	( input d,
              input rstn,
              input clk,
              output reg q);
	
	always @ (posedge clk) 
       if (!rstn)
          q <= 0;
       else
          q <= d;
endmodule

  

Esquema de hardware

Banco de pruebas

  
  
module tb_dff;
	reg clk;
	reg d;
	reg rstn;
	reg [2:0] delay;
	
    dff  dff0 ( .d(d),
                .rsnt (rstn),
                .clk (clk),
                .q (q));
    
    // Generate clock
    always #10 clk = ~clk;
                   
    // Testcase
    initial begin
    	clk <= 0;
    	d <= 0;
    	rstn <= 0;
    	
    	#15 d <= 1;
    	#10 rstn <= 1;
    	for (int i = 0; i < 5; i=i+1) begin
    		delay = $random;
    		#(delay) d <= i;
    	end
    end
endmodule

  

Verilog

  1. Introducción a Verilog
  2. Recargar, restablecer, reconfigurar
  3. Flip-Flop S-R de puerta NAND
  4. Convergencia TI / OT:una oportunidad para un restablecimiento cultural
  5. Tutorial de Verilog
  6. contador de 4 bits
  7. Contador Verilog Mod-N
  8. Mostrador gris Verilog
  9. Errores de PID:Restablecer liquidación
  10. 74LS74:Una guía completa del flip-flop dual
  11. ¿Qué es el botón RESET en el panel del operador CNC